1pub const RESERVED_NAMES: &[&str] = &[
3 "invoke",
5 "par",
6 "seq",
7 "if",
8 "while",
9 "with",
10 "component",
11 "primitive",
12 "extern",
13 "reg",
15 "wire",
16 "always",
17 "posedge",
18 "negedge",
19 "logic",
20 "tri",
21 "input",
22 "output",
23 "if",
24 "generate",
25 "var",
26 "go",
27 "done",
28 "clk",
29 "and",
30 "process",
31 "assign",
32 "automatic",
33 "begin",
34 "buf",
35 "bufif0",
36 "bufif1",
37 "case",
38 "casex",
39 "casez",
40 "cell",
41 "cmos",
42 "config",
43 "deassign",
44 "default",
45 "defparam",
46 "design",
47 "disable",
48 "edge",
49 "else",
50 "end",
51 "endcase",
52 "endconfig",
53 "endfunction",
54 "endgenerate",
55 "endmodule",
56 "endprimitive",
57 "endspecify",
58 "endtable",
59 "endtask",
60 "event",
61 "for",
62 "forever",
63 "fork",
64 "function",
65 "genvar",
66 "highz0",
67 "highz1",
68 "ifnone",
69 "incdir",
70 "include",
71 "initial",
72 "inout",
73 "instance",
74 "integer",
75 "join",
76 "large",
77 "liblist",
78 "library",
79 "localparam",
80 "macromodule",
81 "medium",
82 "module",
83 "nmos",
84 "nor",
85 "noshowcancelledno",
86 "not",
87 "notif0",
88 "notif1",
89 "or",
90 "parameter",
91 "pmos",
92 "primitive",
93 "pull0",
94 "pull1",
95 "pulldown",
96 "pullup",
97 "pulsestyle_oneventglitch",
98 "pulsestyle_ondetectglitch",
99 "remos",
100 "real",
101 "realtime",
102 "release",
103 "repeat",
104 "rnmos",
105 "rpmos",
106 "rtran",
107 "rtranif0",
108 "rtranif1",
109 "scalared",
110 "showcancelled",
111 "signed",
112 "small",
113 "specify",
114 "specparam",
115 "strong0",
116 "strong1",
117 "supply0",
118 "supply1",
119 "table",
120 "task",
121 "time",
122 "tran",
123 "tranif0",
124 "tranif1",
125 "tri0",
126 "tri1",
127 "triand",
128 "trior",
129 "trireg",
130 "unsigned",
131 "use",
132 "vectored",
133 "wand",
134 "weak0",
135 "weak1",
136 "while",
137 "wor",
138 "xnor",
139 "xor",
140 "wait",
141 "break",
142];